Soutenance de thèse de Mona EZZADEEN

Ecole Doctorale
SCIENCES POUR L'INGENIEUR : Mécanique, Physique, Micro et Nanoélectronique
Spécialité
Sciences pour l'ingénieur : spécialité Micro et Nanoélectronique
établissement
Aix-Marseille Université
Mots Clés
Calcul dans la mémoire,Mémoire 3D,Mémoire hybride,,
Keywords
In-Memory-Computing,3D memory,Hybrid memory,,
Titre de thèse
Conception d'un circuit dédié au calcul dans la mémoire à base de technologie 3D innovante
Circuit design of an innovative logic/memory CUBE for In-Memory-Computing
Date
Lundi 12 Décembre 2022 à 14:00
Adresse
Minatec, 3 Parv. Louis Néel, 38054 Grenoble
Palladium 2
Jury
Directeur de these M. Jean-Michel PORTAL IM2NP / Aix Marseille Université
Rapporteur M. Ian O'CONNOR INL / Ecole Centrale de Lyon
Examinateur Mme Cristell MANEUX IMS / Université de Bordeaux
Rapporteur M. Olivier SENTIEYS Inria / Université de Rennes
Co-encadrant de these M. Bastien GIRAUD CEA
Co-encadrant de these M. François ANDRIEU CEA

Résumé de la thèse

Avec le développement de l'internet des objets et de l'intelligence artificielle, le "déluge de données" est une réalité, poussant au développement de systèmes de calcul énergétiquement efficients. Cependant, la classique architecture de von Neumann souffre du coût élevé des transferts de données entre les mémoires et les unités de traitement, et la miniaturisation des transistors devient de plus en plus coûteuse et complexe à réaliser, limitant ainsi la performance des circuits. Dans ce contexte, en effectuant le calcul à l'intérieur ou à proximité des mémoires, le paradigme de l'in/near-memory-computing (I/NMC) semble être une voie prometteuse. Cependant, les mémoires non-volatiles Flash souffrent de problèmes de miniaturisation et ne semblent pas facilement adaptées à l'I/NMC. D'autre part, de nouvelles mémoires non volatiles comme les ReRAM offrent de très bonnes performances mémoire, une bonne capacité de miniaturisation, et une excellente compatibilité avec l'I/NMC. Elles souffrent cependant d'une variabilité importante, et nécessitent l'utilisation d'un transistor d'accès par bit (1T1R) pour limiter les courants de fuite, dégradant ainsi leur densité. Dans cette thèse, nous nous proposons de résoudre ces deux défis. Tout d'abord, l'impact de la variabilité des ReRAM sur les opérations de lectures et de calcul en mémoire est étudié, et de nouvelles techniques de calculs booléens robustes et à faible impact surfacique sont développés. Dans le contexte des réseaux de neurones, de nouveaux accélérateurs neuromorphiques à base de ReRAM sont développés et charactérisés, visant une bonne robustesse face à la variabilité, un bon parallélisme et une efficacité énergétique élevée. Dans un deuxième temps, pour résoudre les problèmes de densité d'intégration, une nouvelle technologie de cube mémoire 3D à base de ReRAM 1T1R et son architecture sont proposés, pouvant à la fois être utilisée en tant que mémoire de type NOR 3D dense qu'en tant qu'accélérateur I/NMC.

Thesis resume

With the advent of edge devices and artificial intelligence, the data deluge is a reality, making energy-efficient computing systems a must-have. Unfortunately, classical von Neumann architectures suffers from the high cost of data transfers between memories and processing units. At the same time, CMOS scaling seems more and more challenging and costly to afford, limiting the chips performance due to power consumption issues. In this context, bringing the computation directly inside or near memories (I/NMC) seems an appealing solution. However, data-centric applications requires an important amount of non-volatile storage, and modern Flash memories suffer from scaling issues and are not very suited for I/NMC. On the other hand, emerging memory technologies such as ReRAM presents very appealing memory performances, a good scalability, and interesting I/NMC features. However, they suffer from variability issues and from a degraded density integration if an access transistor per bitcell (1T1R) is used to limit the sneak-path currents. This thesis work aims to overcome these two challenges. First, the variability impact on read and I/NMC operations is assessed and new robust and low-overhead ReRAM-based boolean operations are proposed. In the context of neural networks, new ReRAM-based neuromorphic accelerators are developed and characterized, with an emphasis on a good robustness against variability, a good parallelism, and a high energy efficiency. Second, to resolve the density integration issues, an ultra-dense 3D 1T1R ReRAM-based Cube and its architecture are proposed, which can be used as a 3D NOR memory as well as a low overhead and energy-efficient I/NMC accelerator.